Introduction

 People

 Research themes:

   Embedded Systems

   Hybrid Systems

   Deep Submicron

   Logic Synthesis

 Other links:

   EE249

   CHESS

   GSRC

   BWRC

Embedded Systems

Theory
Metropolis
Polis
Platform-based Design
Protocol Design
Fault Tolerant Systems
Embedded Software
Performance analysis
Picoradio

Publications:

A. L. Sangiovanni-Vincentelli. White paper on Platform-based Design. 2001. Copyright ASV, use of this paper or any part of it
without written consent by the author is prohibited.

A. L. Sangiovanni-Vincentelli. Principles on Platform-based. 2001. Copyright ASV, use of this paper or any part of it
without written consent by the author is prohibited.

Radu Marculescu, Amit Nandi, Luciano Lavagno, Alberto Sangiovanni-Vincentelli, System-Level Power/Performance Analysis of Portable Multimedia Systems Communicating over Wireless Channels, in Proceedings of the International Conference on Computer Aided Design, 4-8 November 2001, San Jose, CA

J. R. Burch, R. Passerone, A. L. Sangiovanni-Vincentelli. Using Multiple Levels of Abstraction in Embedded Software Design, in Proceedings of the First International Workshop on Embedded Software, Tahoe City, California, October 8-10, 2001.

J. R. Burch, R. Passerone, A. L. Sangiovanni-Vincentelli. Overcoming Heterophobia: Modeling Concurrency in Heterogeneous Systems, in Proceedings of the Second International Conference on Application of Concurrency to System Design, Newcastle upon Tyne, UK, June 25-29, 2001.

M. Sgroi, M. Sheets, A. Mihal, K. Keutzer, S. Malik, J. Rabaey, A. Sangiovanni-Vincentelli, Addressing System-on-a-Chip Interconnect Woes Through Communication-Based Design. Proceedings of the Design Automation Conference, DAC '01, Las Vegas, USA, June 2001. 

J.L. da Silva Jr.; J. Shamberger, M.J. Ammer, C. Guo, S. Li, R. Shah, T. Tuan, M. Sheets, J. Rabaey, B. Nikolic, A. Sangiovanni-Vincentelli, P. Wright Design methodology for PicoRadio networks. Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001, Munich, Germany, 13-16 March 2001. 

C. Passerone, Y. Watanabe, L. Lavagno, Generation of minimal size code for schedule graphs. Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001, Munich, Germany, 13-16 March 2001.

K. Keutzer, S. Malik, A. R. Newton, J. M. Rabaey,  and A. Sangiovanni-Vincentelli, System Level Design: Orthogonalization of Concerns and Platform-Based Design. In IEEE Transactions on Computer-Aided Design, Vol. 19, No. 12, December 2000.

A. Sangiovanni-Vincentelli, M. Sgroi, L. Lavagno, Formal Models for Communication-based Design. Proceedings of the 11-th International Conference on Concurrency Theory, Concur '00, 22-25 August 2000. 

M. Sgroi, L. Lavagno, A. Sangiovanni-Vincentelli, Formal Models for Embedded System Design. IEEE Design & Test Magazine. Special Issue on System Design. June 2000.

J. Cortadella, A. Kondratyev, L. Lavagno, M. Massot, S. Moral, C. Passerone, Y. Watanabe, A. Sangiovanni-Vincentelli, Task generation and compile-time scheduling for mixed data-control embedded software. Proceedings 2000. Design Automation Conference. Proceedings 2000. Design Automation Conference, Los Angeles, CA, USA, 5-9 June 2000.

M. Sgroi, J. da Silva Jr., F. De Bernardinis, F. Burghardt, A. Sangiovanni-Vincentelli and J. Rabaey, Designing Wireless Protocols: Methodology and Applications. Proceedings of the 25th International Conference on Acoustics, Speech and Signal Processing, ICASSP '00, Istanbul, Turkey, June 2000. 

J. da Silva Jr., M. Sgroi, F. De Bernardinis, S.F Li, A. Sangiovanni-Vincentelli and J. Rabaey, Wireless Protocols Design: Challenges and Opportunities. Proceedings of the 8th IEEE International Workshop on Hardware/Software Codesign, CODES '00, S.Diego, CA, USA, May 2000.

A. Ferrari and A. Sangiovanni-Vincentelli. System design: traditional concepts and new paradigms. In Proceedings of 1999 International Conference on Computer Design: VLSI in Computer and Processors. ICCD'99, Austin, TX, USA, 10-13 Oct 1999. 

M. Sgroi, L. Lavagno, Y. Watanabe, A. Sangiovanni-Vincentelli, Synthesis of Embedded Software Using Free-Choice Petri Nets. Proceedings of Design Automation Conference, DAC '99, New Orleans, USA. 21-25 Jun 1999. 

M. Sgroi, L. Lavagno, Y. Watanabe, A. Sangiovanni-Vincentelli, Quasi-Static Scheduling of Embedded Software Using Equal Conflict Nets. Proceedings of 20th International Conference on Application and Theory of Petri Nets. ICATPN '99. Williamsburg, Virginia, USA. 21-25 Jun 1999.

Felice Balarin, Massimiliano Chiodo, Paolo Giusto, Harry Hsieh, Attila Jurecska, Luciano Lavagno Alberto Sangiovanni-Vincentelli, Ellen Sentovich, Kei Suzuki. Synthesis of Software Programs for Embedded Control Applications. In IEEE transactions on Computer-Aided Design of Integrated Circuits and Systems, June 1999.

M. Chiodo, P. Giusto, H. Hsieh, A. Jurecska, L. Lavagno, A. Sangiovanni-Vincentelli, E. Sentovich, K. Suzuki. Synthesis of Software Programs for Embedded Control Applications. In Proceeding of Design Automation Conference, June 1995. 

F. Balarin, M. Chiodo, P. Giusto, H. Hsieh, A, Jurecska, L. Lavagno, C. Passerone, A. Sangiovanni-Vincentelli, E. Sentovich, K. Suzuki, B. Tabbara Hardware-Software Co-Design of Embedded Systems: The Polis Approach. Kluwer Academic Press , June 1997.

Harry Hsieh, Felice Balarin, and Alberto Sangiovanni-Vincentelli. Synchronous Equivalence: Formal Methods for Embedded Systems.Kluwer Academic Press , November 2000.

Harry Hsieh, Felice Balarin, Luciano Lavagno, and Alberto Sangiovanni-Vincentelli. Refining Abstract Equivalence Analysis for Embedded System Design. In Proceedings of the International Workshop on High Level Design, Validation, and Test, November 2000. 

Bassam Tabbara, Abdallah Tabbara, Alberto Sangiovanni-Vincentelli. Task Response Time Optimization Using Cost-Based Operation Motion. In Proceedings of the 8th IEEE International Workshop on Hardware/Software Codesign, S.Diego, CA, USA, May 2000.

Harry Hsieh, Felice Balarin, Luciano Lavagno, Alberto Sangiovanni-Vincentelli. Efficient Methods for Embedded System Design Space Exploration. In Proceedings of Design Automation Conference, June 2000. 

Harry Hsieh, Felice Balarin, Luciano Lavagno, Alberto Sangiovanni-Vincentelli. Synchronous Equivalence for Embedded Systems: A Tool for Design Exploration. In Proceedings of International Conference on Computer Aided Design, November 1999. 

Bassam Tabbara, Enrica Filippi, Luciano Lavagno, Marco Sgroi, Alberto Sangiovanni-Vincentelli. Fast Hardware-Software Co-simulation Using VHDL Models. Design Automation and Test in Europe (DATE), March 1999. 

M. Lajolo, L. Lavagno and A. Sangiovanni-Vincentelli. Fast Instruction Cache Simulation Strategies in a Hardware/Software Co-Design Environment. In Proceedings of the IEEE Asia and South Pacific Design Automation Conference (ASP-DAC'99), pp. 347-350, Hong Kong, January 18-21, 1999. 

M. Lajolo, A. Raghunathan, S. Dey, L. Lavagno and A. Sangiovanni-Vincentelli. Efficient Power Estimation Techniques for HW/SW Systems. In Proceedings of the IEEE VOLTA'99 International Workshop on Low Power Design , pp. 191-199, Como, Italy, March 4-5, 1999. 

M. Lajolo, M. Lazarescu and A. Sangiovanni-Vincentelli. A Compilation-based Software Estimation Scheme for Hardware/Software Co-Simulation. In Proceedings of the 7th IEEE International Workshop on Hardware/Software Codesign, pp. 85-89, Roma, Italy, May 3-5, 1999. 

M. Lajolo, L. Lavagno, C. Passerone and A. Sangiovanni-Vincentelli. A Parameter-based Mapping Scheme for Behavior/Architecture Co-Design. In IEEE Workshop on Design, Test and Applications (WDTA'99), pp. 37-40, Dubrovnik, Croatia, June 14-16, 1999. 

M. Lajolo, L. Lavagno, and A. Sangiovanni-Vincentelli. Fast Instruction Cache Simulation for Hardware/Software Co-Design. In IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, Vol. E82-A, No. 11 November 1999, pp. 2475 - 2483. 

T. Cuatto, C. Passerone, L. Lavagno, A. Jurecska, A. Damiano, C. Sansoe, A. Sangiovanni-Vincentelli. A Case Study in Embedded System Design: an Engine Control Unit. In Proceedings of Design Automation Conference, 1998. 

F. Balarin, L. Lavagno, P. Murthy, A. Sangiovanni-Vincentelli. Scheduling for embedded real-time systems. IEEE Design & Test of Computers, 1998.

L. Lavagno, A. Sangiovanni-Vincentelli. System-level design models and implementation techniques. In Proceedings of International Conference on Application of Concurrency to System Design, 1998. 

M. Lajolo, A. Raghunathan, S. Dey, L. Lavagno, A. Sangiovanni-Vincentelli. A Case Study on Modeling Shared Memory Access Effects during Performance Analysis of HW/SW Systems. In Proceedings of International Workshop on Hardware-Software Codesign, March 1998. 

J. Liu, M. Lajolo, A. Sangiovanni-Vincentelli. Software Timing Analysis Using HW/SW Cosimulation and Instruction Set Simulator. In Proceedings of International Workshop on Hardware-Software Codesign, March 1998. 

C. Passerone, R. Passerone, C. Sansoe, J. Martin, A. Sangiovanni-Vincentelli, P. McGeer. Modeling Reactive Systems in Java. In Proceedings of International Workshop on Hardware-Software Codesign, March 1998. 

E.Filippi, L.Lavagno, L.Licciardi, A.Montanaro, M.Paolini, R.Passerone, M.Sgroi, A. Sangiovanni-Vincentelli. Intellectual Property Re-Use in Embedded System Co-Design: An Industrial Case Study. In Proceedings of International Symposium System Synthesis, Hsinchu, Taiwan, December 1998. 

M. Lajolo, C. Passerone, F. Bellifemine, A. Bonomo, P. Civera, G. Ghigo, A. Sangiovanni-Vincentelli. Hardware/Software Co-Design for Image Processing. In Proceedings of International Conference on Signal Processing and Communications, February 1998. 

B. Tabbara, L. Lavagno, A. Sangiovanni-Vincentelli. Fast Hardware-Software Co-Simulation using Software Synthesis and Estimation. In Proceedings of IEEE International High Level Design Validation and Test Workshop, November, 1997. 

R. Passerone, C. Passerone, L. Lavagno, C. Sansoe, A. Sangiovanni-Vincentelli. Modeling reactive Systems in Java. In Proceedings of IEEE International High Level Design Validation and Test Workshop, November, 1997. 

C. Passerone, L. Lavagno, M. Chiodo, A. Sangiovanni-Vincentelli. Fast hardware/software co-simulation for virtual prototyping and trade-off analysis. In Proceedings of Design Automation Conference, June, 1997. 

F. Balarin, A. Sangiovanni-Vincentelli. Schedule Validation for Embedded Reactive Real-Time Systems. In Proceedings of the Design Automation Conference, June 1997.

S. Edwards, L. Lavagno, E. Lee, A. Sangiovanni-Vincentelli. Design of Embedded Systems: Formal Model, Validation, and Synthesis. In Proceedings of the IEEE, vol. 85, (no.3), March 1997. p.366-90. 

H. Hsieh, L. Lavagno, C. Passerone, C. Sansoe, A. Sangiovanni-Vincentelli. Modeling Micro-controller Peripherals for High-Level Co-simulation and Synthesis. In Proceedings of International Workshop on Hardware-Software Codesign, March 1997. 

F. Balarin, M. Chiodo, A. Jurecska, L. Lavagno, B. Tabbara, A. Sangiovanni-Vincentelli. Automatic Generation of a Real-Time Operating System for Embedded Systems. In Proceedings of International Workshop on Hardware-Software Codesign, March 1997.

C. Passerone, L. Lavagno, C. Sansoe, M. Chiodo, A. Sangiovanni-Vincentelli. Trade-off Evaluation in Embedded System Design via Co-simulation. In Proceedings of the ASP-DAC'97. Chiba, Japan. January, 1997, p291-7. 

E. Lee, A. Sangiovanni-Vincentelli. Comparing Models of Computation. In Proceeding of International Conference on Computer Aided Design, November 1996. 

R. v. Hanxleden, L. Lavagno, J. Bohne, A. Sangiovanni-Vincentelli. Hardware/Software Co-Design of a Fault-Tolerant Communication Protocol. Digest of Abstracts at the IEEE International Workshop on Embedded Fault-Tolerant Systems, September 1996. 

K. Suzuki, A. Sangiovanni-Vincentelli. Efficient Software Performance Estimation Methods for Hardware/Software Codesign. In Proceeding of Design Automation Conference, June 1996. 

F. Balarin, H. Hsieh, A. Jurecska, L. Lavagno, A. Sangiovanni-Vincentelli. Formal Verification of Embedded Systems based on CFSM Networks. In Proceeding of Design Automation Conference, June 1996.

A. Sangiovanni-Vincentelli, P. McGeer, A. Saldanha. Verification of Electronic Systems. In Proceeding of Design Automation Conference, June 1996.

S. Cardelli, M. Chiodo, P. Giusto, A. Jurecska, L. Lavagno, A. Sangiovanni-Vincentelli. Rapid-Prototyping of Embedded Systems via Reprogrammable Devices. In Proceeding of International Workshop on Rapid Systems Prototyping, June 1996. 

A. Sangiovanni-Vincentelli. Trends in Electronic Systems. In Proceedings of Mediterranean Electrotechnical Conference on Industrial Applications in Power Systems, Computer Science, and Telecommunications, May 1996.

M. Chiodo, D. Engels, P. Giusto, H. Hsieh, A. Jurecska, L. Lavagno, K. Suzuki, A. Sangiovanni-Vincentelli. A Case Study in Computer-Aided Co-design of Embedded Controllers. In Design Automation of Embedded Systems. January 1996.

M. Chiodo, A. Damiano, L. Lavagno, A. Sangiovanni-Vincentelli. Design Automation for Reactive Embedded Controller Co-design. In Electronic Engineering Times, 1996.

L. Lavagno, A. Sangiovanni-Vincentelli, H. Hsieh. Models and Algorithms for Embedded System Synthesis and Validation. In Proceeding of Nato Advance Study Institute, Tremezzo, Italy. 1995.

F. Balarin, A. Sangiovanni-Vincentelli. An Iterative Approach to Verification of Real-time Systems. In Formal Methods in System Design, January 1995. vol.6, pp 67-95.

L. Lavagno, C. Moon, R. Brayton, A. Sangiovanni-Vincentelli. An efficient Heuristic Procedure for Solving the State Assignment Problem for Event-based Specifications. In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, January 1994. vol 14, pp 45-60.

F. Balarin, A. Sangiovanni-Vincentelli. Iterative Algorithm for Formal Verification of Embedded Real-time Systems. In Proceedings of International Conference on Computer-Aided Design, November 1994. pp 450-7.

L. Lavagno, M. Chiodo, P. Giusto, H. Hsieh, A. Jurecska, K. Suzuki, S. Yee, A. Sangiovanni-Vincentelli. A Case Study in Computer-Aided Co-design of Embedded Controllers. In Proceeding of International Workshop on Hardware-Software Codesign, September 1994. 

M. Chiodo, P. Giusto, H. Hsieh, A. Jurecska, L. Lavagno, A. Sangiovanni-Vincentelli. Hardware-Software Codesign of Embedded Systems. In IEEE Micro, August 1994, pp.26-36. 

M. Chiodo, P. Giusto, H. Hsieh, A. Jurecska, L. Lavagno, A. Sangiovanni-Vincentelli. A Formal Specification Model for Hardware/Software Codesign. In Proceeding of International Workshop on Hardware-Software Codesign, October 1993. 

M. Chiodo, P. Giusto, H. Hsieh, A. Jurecska, L. Lavagno, A. Sangiovanni-Vincentelli. Synthesis of Mixed Software-Hardware Implementation from CFSM Specifications. In Proceeding of International Workshop on Hardware-Software Codesign, October 1993. 

M. Chiodo, A. Sangiovanni-Vincentelli. Design Methods for Reactive Real-time System Co-Design. In Proceeding of International Workshop on Hardware-Software Codesign, September, 1992.

Notice: This material is presented to ensure timely dissemination of scholarly and technical work. Copyright and all rights therein are retained by authors or by other copyright holders. All persons copying this information are expected to adhere to the terms and constraints invoked by each author's copyright.

Contact 
©2002-2018 U.C. Regents